服务热线:13717391075

English
深圳市矽谷半导体设备有限公司!

矽谷·国际智能装备解决方案供应商用细致的全方位服务,共同开启可持续发展得明天。

24小时咨询热线

13717391075

看好先进封装及封装设备国产化

返回列表 来源: 发布日期:2022-04-15

1 封测行业:看好先进封装的投资机会

全球封测行业:长周期稳健增长

封测即集成电路的封装、测试环节,是加工后的晶圆到芯片的桥梁。在半导体产业链中, 封测位于 IC 设计与 IC 制造之后,终 IC 产品之前,属于半导体制造后道工序。其中封装 是指将生产加工后的晶圆进行切割、焊线塑封,使集成电路与外部器件实现电气连接、信 号连接的同时,对集成电路提供物理、化学保护。测试是指利用专业设备,对封装完毕的 集成电路进行功能、性能测试。封装环节占据封测价值量的绝大部分,据 Gartner 统计, 封装环节占整个封测市场份额的 80-85%,测试环节占整个封测市场份额约 15-20%。

按是否焊线,可将封装工艺分为传统封装与先进封装。传统封装的基本连接系统主要采用 引线键合工艺,即通过引出金属线实现芯片与外部电子元器件的电气连接。由于密度较高 可能导致引线之间电气性能的相互干扰甚至短路,传统封装的 I/O 密度受限。随着下游应 用需求引领下的集成电路复杂度不断提升,先进封装应运而生。先进封装指主要以凸点 (Bumping)方式实现电气连接的多种封装方式,旨在实现更多 I/O、更加集成两大功能。 传统封装与先进封装间并不存在绝对的优劣之分与替代关系,下游应用端对高算力、集成 化的需求提升致使先进封装技术成为未来发展趋势。

封测行业价值量于2016年之前长期领跑大陆半导体产业链,有望受益大陆半导体行业纵向结构改善带来的协同作用,迎来发展提速。封测环节为我国半导体产业链中与国际领先 水平差距小的细分板块, 2004-2015 年在大陆 IC 设计、制造、封测环节中占据高的 价值量,但价值量占比大体呈逐年递减状态,2020 年占据大陆半导体行业市场规模的 28.4%。大陆半导体产业链中设计、制造、封测的市场规模占比正逐步趋向于国际上 3:4:3 的平均水平,产业结构趋于平衡。我们认为随着大陆半导体产业链结构性改善的推进,IC 设计、制造与封测的协同效应有望显现,封测行业发展有望提速。image.png

全球封测市场长周期平稳增长。据 Yole 数据及中国产业信息网,在 OSAT 厂商口径下, 全球封测市场规模从 2011 年的 455 亿美元成长至 2020 年的 594 亿美元,2012-2020 年 封测市场规模 CAGR 为 3.0%,全球封测市场处于长周期平稳增长状态。在 OSAT&IDMs 口径下,根据 Yole 数据,2019 年全球封测市场规模达 680 亿美元,预计到 2025 年市场 规模可达 850 亿美元,2020-2025 年 CAGR 有望达到 4%。

中国大陆封测市场规模增长持续高于全球水平,增速拐点或已到达。据中国半导体行业协 会数据,封测市场规模由 2011 年的 975.7 亿元增长至 2020 年的 2509.5 亿元,12-20 年 中国大陆封测市场规模 CAGR 约为 11.1%,增速明显高于同期全球水平。据前瞻产业研究 院预测,到 2026 年中国大陆封测市场规模将达到 4429 亿元,21-26 年市场规模 CAGR 约为 9.9%,高于 19-20 年市场规模 CAGR(7.0%)。随着全球供应链的修复叠加 5G 通信、 HPC、汽车电子、智能可穿戴设备等新兴应用端带来的市场需求增量,中国大陆封测市场 规模增速或已迎来拐点,未来增速有望上扬。dae6b01273e62336f87121dd41a5c5c.jpg

全球委外代工封测(OSAT)市场集中度较高,大陆厂商已进入国际第一梯队。据 Chipinsights,2020 年全球 OSAT 市场 CR3 约为 56.7%, CR10 约为 84.0%,行业集中 度高。中国台湾厂商日月光(ASE)为封测行业全球龙头,2020 年市占率(按营收口径) 约为 30.1%;美国厂商安靠(Amkor)以 14.6%的市占率位列第二;中国大陆厂商长电科 技、通富微电、华天科技按营收口径分列第 3、5、6 位,市占率分别达 12.0%/5.1%/3.9%, 长电科技已处于国际第一梯队,通富微电与华天科技处于国际第二梯队。若计入 Foundry 厂商,则台积电封测业务收入可排名第四。分地区来看,OSAT 厂商前十中有 5 家企业来 自中国台湾,3 家企业来自中国大陆,美国仅有 1 家厂商。近年来,随着资本并购事件的 不断发生以及行业竞争加剧,行业集中度呈进一步提升的趋势。


image.png

外延并购助力大陆厂商崛起,资本并购或仍将是行业主旋律之一。近年来大陆封测厂商收 购案例时有发生,例如 15 年长电科技收购全球第四大封测厂星科金朋、16 年通富微电收 购 AMD 苏州、19 年华天科技收购马来西亚封测厂商 Unisem 等案例,均为相应公司带来 了技术、规模、市场结构等方面的显著提升。在国家集成电路产业大基金加持下,大陆封 测厂商通过外延资本并购实现技术协同、市场整合与规模扩张,叠加内源持续高强度资本 支出推进技术研发及产业化,实现了快速崛起。国际市场而言,例如 17 年日月光(ASE) 收购矽品电子、16 年安靠(Amkor)收购 J-Devices 等案例也加速了封测市场巨头形成与 集中度提升。我们认为,资本并购或仍将是封测行业主旋律之一。

1Q16-2Q21营业收入呈现明显的季节性波动,1Q20-2Q21营业利润连续六个季度增加。 我们观察到 Q1 通常为封测行业全年营收及营业利润低点,且通常迎来环比下降,1Q21 主要封测公司营业利润受全球性封测涨价影响而迎来环比上升。2016/2017/2019/2020 年 封测行业单季度营收在年内均呈现逐季拉升态势。我们认为逐季拉升态势主要受消费电子 行业淡旺季影响封测产能安排所致。2Q21 及 1H21 营业利润同比增速远高于营收增速主 要系 1H21 全球性封测产能紧张引起的封测产品均价提升所致。此外,16-20 年全球主要 封测公司营业收入增速持续高于当年对应全球封测行业市场规模增速,反应全球封测行业 集中度进一步提升。


image.png

受益全球性封测行业景气,全球主要封测公司毛利率连续两季度上扬(1Q21-2Q21),净利率连续三季度上扬(4Q20-2Q21),大陆厂商盈利能力逐渐赶超国际龙头。过去大陆封 测厂商盈利能力较弱,盈利能力受到人工成本和折旧摊销的侵蚀较为严重。随着资产运营 效率的逐步提升以及规模效应带来的成本摊薄,中国大陆厂商在毛利率与净利率端逐步与 日月光、Amkor 等龙头企业处于同一水平。据我们统计,若按营收对长电科技、华天科技、 通微富电、晶方科技 4 家公司的毛利率及净利率水平进行加权平均,则毛利率均值从 13.8% (1Q16)升至 21.2%(2Q21),净利率均值从-1.0%(1Q16)升至 11.1%(2Q21),对 比 2Q21 全球封测龙头日月光(毛利率:19.5%;净利率:8.2%)、安靠(毛利率:19.1%;净利率:8.8%),大陆厂商盈利能力已逐渐赶超国际龙头。

通过杜邦分析法分析,我们认为资产运营效率为封测厂商构筑核心竞争力的关键要素之一,大陆封测厂商总资产周转率为净资产收益率(ROE)提升的关键。净资产收益率为衡量公 司股东获利能力的关键指标,杜邦分析法将净资产收益率拆分为销售净利率、总资产周转 率及权益乘数的乘积,以此来将影响权益收益率的因素分别归因于盈利能力、资产运营效 率及杠杆运用。大陆封测厂商在销售利润率端已经达到行业领先水平;权益乘数端,大陆 封测厂商 20 年加权平均值为 1.99,与行业平均水平相近。总资产周转率端,大陆封测厂 商与封测行业龙头公司差距明显,2020 年长电科技、华天科技、通富微电、晶方科技总 资产周转率分别为 80.3%、47.4%、57.6%、36.5%,加权平均值为 61.0%,与行业头部 企业日月光(总资产周转率 81.8%)、Amkor(总资产周转率 103.9%)差距明显。我们认为,通过推进精细化管理、增加产能利用率、设备稼动率等方法持续优化生产销售管理环节,提高资产运营效率,是封测企业构筑核心竞争力的关键要素。

国产替代提速背景下中国大陆厂商海外营收占比趋降,海外疫情冲击大陆厂商海外产线促使海外营收占比呈加速下滑态势。大陆厂商端,主要封测厂商均在海外有一定产能布局, 例如长电星科金朋、通富槟州、华天 Unisem 等子公司主要产能均位于海外。我们观察到 在海外疫情反复的背景下,中国大陆主要封测厂海外营收占比呈现加速下滑趋势,主要封 测厂海外营收占比由 2019 年(疫情前)的 75.0%下降至 1H21 的 61.4%。考虑到国际贸 易形式不确定性影响下的国产替代提速,以及中国大陆 IC 设计及代工板块蓬勃发展对于 封测行业的协同作用,我们认为主要封测厂海外营收占比或将进一步下降。考虑到海外疫 情缓解后,中国大陆厂商海外产能或得到进一步拉升,我们认为主要封测厂海外营收占比 降速将趋缓。

海外疫情反复背景下,大陆主要封测厂商迎来结构性机遇。我们选取长电、通富、华天、晶 方为中国大陆主要封测厂商,日月光、安靠、力成、京元、南茂、颀邦为大陆以外主要封测 厂商,对比疫情前后的单季度营收同比增速发现,大陆封测厂商和大陆以外封测厂商 1Q21 营收同比增速分别为 32.3%/15.1%,2Q21 营收同比增速分别为 29.5%/12.3%。自 1Q20 中 国大陆疫情爆发以来,大陆主要封测厂商单季度营收同比增速于 1Q21 首次超越大陆以外主 要封测厂商。我们认为造成 1H21 大陆及大陆以外主要封测厂商营收增速差异的主因系海外 疫情反复。在海外疫情持续反复的背景下,我们看好大陆封测厂商的结构性机遇。

1H21封测代工回顾:业绩兑现强劲,A股封测估值承压

1H21全球主要封测厂商迎来强劲业绩兑现,2Q21封测板块营业利润、毛利率、净利率均达1Q16起高水平。我们选取日月光、安靠、长电等 10 个公司作为全球封测板块标 的公司,全球封测板块 2Q21 营业收入合计达 624.1 亿元(QoQ:+7.3%,YoY:+15.9%),1H21 营收合计达 1205.9 亿元(YoY:17.1%)。全球封测板块 2Q21 营业利润 合计达 78.0 亿元(QoQ:+24.8%,YoY:+59.8%),达到 1Q16 起高水平。封测板块 2Q21 净利率达 10.0%(QoQ:+1.44pct)(以单季度营收加权平均),净利率水平自 3Q20 起连续三个季度上扬,盈利能力提升明显。我们观察到全球主要封测厂商毛利率连续六季 度上扬,2Q21 主要封测厂商毛利率达 20.9%(QoQ:+1.24pct)(以单季度营收加权平均), 达 1Q16 起历史高水平。

我们认为,1H21 全球主要封测公司业绩兑现强劲主要系:1)1H21 需求端拉动全球半导 体行业景气度走高;2)1H21 全球性封测产能紧缺导致的封测产品价格走高;3)主要封 测公司扩充产能逐步达产,在产能紧张背景下有效助推业绩释放。我们注意到全球主要 OSAT 厂商 22 年彭博一致预期资本支出较 21 年下滑 10.9%,或表明封测行业扩产需求略 有下滑,行业性产能紧缺或于 4Q21 起得到缓解。

1H21全球封测板块估值波动上扬,全球封测行业迎来戴维斯双击。我们选取日月光、安 靠、长电等 10 家 OSAT 厂商作为标的并按市值加权,全球封测板块 1H21 上涨 18.6%, 21 年年初至 9 月 30 日收盘共涨 8.0%;费城半导体指数 1H21 上涨 20.2%,21 年年初至 9 月 30 日收盘共涨 17.0%。

1H21半导体产业链细分板块估值逐渐分化,A股封测行业估值一定程度承压。我们选取 长电科技、通富微电、华天科技、晶方科技 4 家 A 股上市 OSAT 厂商作为标的并按市值加 权,A 股封测板块 1H21 上涨 6.2%(全球封测板块同期:+18.6%)。选取韦尔股份等 20 家 A 股上市公司作为 IC 设计板块标的,选取华润微等 7 家 A 股上市公司作为代工/IDM 板 块标的并按市值加权,1H21 IC 设计板块上涨 27.7%,代工/IDM 板块上涨 24.6%;尽管 1H21 半导体行业景气度高企,中国大陆封测行业主要公司业绩兑现强劲,但估值相比全 球封测板块及 A 股 IC 设计和代工/IDM 板块相比,仍一定程度承压。

我们认为估值承压主要系:1)市场对封测厂商产能松动时点存在分歧;2)市场对未来封 测产品价格见顶后的业绩增量存在分歧。我们认为 2H21 封测行业主要公司业绩仍有望受 益于封测产品量价齐升而延续 1H21 强劲势头,而先进封装工艺及新应用端引领的封装增 量市场将为封测行业中长期增长提供核心动能。

把握先进封装的投资机会

手机与PC端客户齐助力,先进封装大势所趋。随着 5G 时代来临,高通、联发科等领先 AP 厂商相继发布 5G 芯片,苹果、华为等领先手机厂商也推出自研 5G 芯片。在 5G 手机 内置元件数量增加、对空间利用率要求不断提高的情况下,SiP、CSP、WLP 等封装方案 凭借更小体积、更高集成度的优点随 5G 换机潮而快速普及。PC 领域,英伟达 GPU 产品 采用台积电的 CoWoS 2.5D 先进封装技术;AMD 在中高端 CPU/GPU 领域市占率不断提 升,同时已推出 5nm 制程 Zen-4 架构新产品,对 FCBGA、FCPGA、FCLGA 等倒装封装 以及 2.5/3D 等先进封装需求维持旺盛。我们认为,在 5G 新周期以及先进制程进展放缓之 际,下游客户对能满足兼顾复杂性能和微型化的先进封装需求正在加速释放。

长电先进封装收入占据主导,通富和华天先进封装收入比重呈上升趋势。长电 2020 年先 进封装销量占比 54.72%,子公司星科金朋、长电先进、长电韩国和本部江阴厂从事先进 封测业务,加总测算得长电科技 1H21 先进封测收入占封测业务总收入比重达 91.11%, 先进封测占据主导;通富微电先进和传统封测业务收入较为平均,先进封测业务主要由子 公司通富超微苏州和通富超微槟城承担,1H21 合计收入占封测业务收入的 52.27%。通富 采用大客户为主的战略,深度绑定 AMD,有望受益其在 CPU/GPU 领域市占率的持续提 升。华天科技先进封装业务主要由子公司华天南京、华天昆山及 Unisem 承担,此外,华 天西安也承担部分先进封装业务,测算得 1H21 华天科技先进封装业务占比约 50.65%。

先进封装附加值显著提升,产品均价约为传统封装的14倍。以长电为例,凭借其在晶圆 级封装、SiP 封装、2.5/3D 封装等先进封装领域的领先地位,先进封装销量占比自 2017 年以来逐年增加,先进封装产品销售均价也稳定在 0.71 元/颗的水平左右,约为传统封装 产品均价 0.05 元/颗的 14 倍左右。根据专注于先进封测的甬矽电子招股书,其 FC、SiP 封装两个高端先进封装产品 2020 年销售均价分别为 0.44/0.82 元/颗,显著高于传统封装。

大陆封测企业在先进封装技术方面基本与国际先进水平同步。通过积极并购实现技术协同 与持续高强度自主研发,国内封测企业已完成对主要先进封装工艺的全覆盖,例如系统级 封装(SiP)、倒装封装(FC)、2.5D/3D 封装等先进封装工艺已实现量产。考虑到产业政 策支持、国产替代提速等宏观催化,叠加持续高强度自主研发、潜在收并购带来的技术协 同机遇等微观动能,我们认为大陆封测行业作为芯片设计、制造、封测三大板块中与国际先进水平差距小的行业,有望率先完成从“同步”到“引领”的跨越,未来发展可期。

长电科技在晶圆级、2.5/3D等封装技术方面处于行业领先地位。长电拥有创新突破性的晶 圆级 FlexLineTM 制造方法,在不受晶圆直径约束的同时实现了传统制造流程无法实现的 供应链简化和成本的显著降低。2019 年,公司子公司长电先进成功开发了 FI ECP01005 技术,实现了业内小、薄的包覆型 WLCSP 封装。2.5/3D 封装技术方面,公司在硅 级别集成领域中,公司为首批在 2.5D 封装领域拥有成熟 MEOL TSV 集成经验的封测厂商。

通富微电在倒装封装、高端处理器封测领域居领先地位。公司 2013 年规模化量产 FC 封 装技术,2015 年投建实现国内首条 12 英寸 28nm 先进封测全制程(Bumping +CP +FC +FT +SLT)并成功量产。2016 年通过收购 AMD 苏州及马来西亚槟城封测厂获得 FCBGA、 FCPGA、FCLGA 等高端封装技术和大规模量产平台。公司深度绑定 AMD,成为世界首 个封测 7nm CPU 的封测厂,目前已实现 5nm 产品的工艺能力和认证。

此外,我们注意到中芯长电(盛合晶微)、甬矽电子等未上市的封测企业在先进封装等细分领域快速发展。据甬矽电子招股书,公司 2020 年营业收入达 7.48 亿元,净利润 2785 万元。公司全部产品均为中高端先进封装形式,封装产品主要包括 FC、SiP、QFN/DFN、 MEMS 4 大类别,已与恒玄科技、晶晨股份、富瀚微、联发科等知名 IC 设计厂商建立稳 定的合作关系,2020 年产品综合良率超 99.9%。据中芯国际 20 年报,中芯长电为中芯国 际与长电科技于 2014 年 11 月合资设立的公司,主要产品为中道凸块制造及晶圆级封装, 在晶圆级封装领域处于国内领先地位。

2 后道设备行业:看好国产化投资机会

全球封测设备行业:乘先进封装东风,放量可期

封测设备可分为封装设备及测试设备两大类。封装设备方面,与引线键合工艺中背面减薄、 晶圆切割、贴片、引线键合、模塑密封、切筋成型六大工序相对应,传统封装设备按工艺 流程主要分为减薄机、划片机、贴片机、引线键合机、塑封机及切筋成型机。在以凸点焊 (Bumping)代替引线键合的先进封装工艺中,还需用到倒装机、植球机、回流炉等设备, 例如硅通孔(TSV)等先进封装工艺中也会用到光刻机、刻蚀机、电镀机、PVD、CVD 等 半导体制造前道设备。

测试过程贯穿半导体制造的全工艺流程,主要分为设计验证测试、过程控制测试、晶圆检 测(CP,Circuit Probing)、成品测试(FT,Final Test),其中 CP 测试及 FT 测试发生在 晶圆制造后,属于半导体制造后道检测,主要测试设备为测试机、探针台、分选机。CP 测试主要用到测试机、探针机;FT 测试主要用到测试机、分选机。过程控制测试为晶圆 制造全过程的检测,主要用到光学显微镜、缺陷观测设备等;设计验证测试由于为对芯片 样片的全流程检测,故需使用上述全部半导体测试设备。

SEMI预计21年全球封装设备市场规模达60.1亿美元,测试设备市场规模达75.8亿美元,我们看好封测设备市场规模持续拉升。由于 20 年半导体行业景气度回升,下游封测厂扩 产进度加快,全球封装设备及测试设备市场规模均同比实现较大幅度增长(封装设备同比 +34.1%,测试设备同比+19.7%)。据 SEMI 预计,21/22 年封装设备市场规模将达 60.1/63.9 亿美元,分别同比增长 56.1%/6.3%;21/22 年测试设备市场规模将达 75.8/80.3 亿美元,分别同比增长 26.1%/5.9%。我们认为先进封装工艺带来的设备需求会大幅推动 封装设备市场规模扩大,伴随集成电路复杂度提升,后道测试设备市场规模也将稳定提升。

全球封装设备呈现寡头垄断格局,ASM Pacific、K&S、Besi、Disco、Towa、Yamada 等公司占据了绝大部分的封装设备市场,行业高度集中。ASM Pacific 产品覆盖面广, 2020 年封装设备收入达 8.68 亿美元(据公司 2020 年报),按 SEMI 统计的 2020 年 38.5 亿美元的封装市场规模测算,则 ASM Pacific 全球市场占有率达 22.5%,为封装装备龙头。Disco 在半导体封装领域主要涉及减薄机、划片机及切筋成型设备,是后道工艺中减薄机 及划片机领域的细分龙头,据 SEMI,2020 年 Disco 在划片机及减薄机中的市占率分别达 81%、73%。Besi 主要产品为固晶机、贴片机、塑封机等设备,产品谱系较广;K&S 的优 势设备主要为键合机。

全球半导体后道测试设备行业高度集中,测试机市场呈泰瑞达(Teradyne)和爱德万(Advantest)双寡头垄断局面。据 SEMI,2020 年泰瑞达、爱德万分别占据全球测试机 市场规模的约 51%/40%,细分来看,泰瑞达、爱德万分别占 SoC&逻辑测试机市场的约 59%/37%及存储测试机市场规模的约 32%/51%。探针台市场也呈双寡头垄断,据 CSA Research,2018 年东京精密(Tokyo Seimitsu)和东京电子(Tokyo Electron)探针台市 场份额分别为约 46%/27%。分选机竞争格局相对分散,主要企业为科休(Cohu)、爱德万、 鸿劲精密、长川科技等。据前瞻产业研究院,2018 年,科休、爱德万、鸿劲精密、长川 科技市占率分别约为 37%/12%/8%/2%。

全球主要封装设备公司季度营收自1Q20起连续六季度环比增加,测试设备公司季度营收自1Q19起连续十季度环比增加,封测设备景气度高企。我们以 ASM Pacific、Disco、 Besi、K&S 作为全球主要封装设备公司标的,以爱德万、泰瑞达、东京精密、科休半导体 及 A 股上市公司长川科技、华峰测控作为全球主要测试设备公司标的,营业收入端, 1Q16-2Q21 全球封装设备公司季度营收呈现较强的周期性特征,而测试设备公司季度营 收则呈现长周期平稳增长态势。我们认为封装设备及测试设备周期性出现分化主要系测试 设备下游应用口径较广所致,封装设备主要采购商为 OSAT 厂商,而后道测试设备除 OSAT 厂商外,Fabless 厂商近年来也逐渐加大自主采购测试机力度。营业利润端,受先 进封装工艺带动高附加值的先进封装设备需求提升,封装设备公司 1Q21-2Q21 营业利润 同比增速分别达 138.5%/124.8%,远大于测试设备公司对应指标。我们认为先进封装设备 将成为封装设备公司业绩兑现及盈利提升的主要增量。


不同封装设备公司及不同测试设备公司的毛利率出现分化,主要系不同工艺所用设备技术壁垒及竞争格局不同所致。封装设备端,Disco 为全球封装设备中划片机及晶圆减薄机龙 头,其毛利率水平长期处于封装设备公司中领先地位,1Q16-2Q21 单季度毛利率均值约 58%。测试设备端,爱德万、泰瑞达在附加值较高的测试机中处于双寡头垄断地位, 1Q16-2Q21 单季度毛利率均值约 55%,探针机龙头东京精密、分选机龙头科休半导体毛 利率稍低,经我们统计,1Q16-2Q21 单季度毛利率中枢均落在约 39%。

1Q16-2Q21测试设备公司毛利率稳定高于封装设备公司毛利率,但1Q21起,毛利率差异呈收敛态势。从 1Q16-2Q21 数据来看,平均而言后道测试设备具有比封装设备更高的 附加值 。2Q21 全球主要测试设备公司毛利率加权均值为 52.7%,而封装设备公司毛利率 加权均值为 49.8%,我们认为封装设备与测试设备的毛利率差异逐渐收敛主要系先进封装 工艺占比提升、先进封装设备附加值较高所致。我们预计伴随先进封装设备在封装设备中 的占比提升,封装设备公司毛利率有望进一步拉高。

1H21封测设备回顾:业绩兑现强劲,估值波动上涨

受益下游封测代工厂高强度资本支出,1H21全球封装设备及测试设备板块均迎来强劲业绩兑现,2Q21全球封装设备板块及测试设备板块营收及营业利润均创历史新高。我们选 取 ASM Pacific、K&S 等 4 个公司作为全球封装设备板块标的公司,选取爱德万、泰瑞达 等 6 个公司作为全球测试设备板块标的公司。全球封装设备板块 2Q21 营业收入合计达 17.2 亿美元(QoQ:+42.2%,YoY:+46.2%),1H21 营收合计达 32.4 亿美元(YoY:+46.4%),2Q21 营业利润合计达 4.73 亿美元(QoQ:+32.7%,YoY:+124.8%),1H21 营业利润合计达 8.30 亿美元(YoY:+130.5%)。全球测试设备板块 2Q21 营业收入合计 达 25.7 亿美元(QoQ:+39.7%,YoY:+46.2%),1H21 营收合计达 47.8 亿美元(YoY:+34.5%),2Q21 营业利润合计达 7.56 亿美元(QoQ:+34.1%,YoY:+79.8%),1H21 营业利润合计达 13.20 亿美元(YoY:+75.2%)。封装设备板块 2Q21 净利率达 21.8% (QoQ:+2.84pct),毛利率达 49.8%(QoQ:+1.18pct);测试设备板块 2Q21 净利率达 24.9%(QoQ:-2.10pct),毛利率达 52.7%(QoQ:+1.41pct)。

我们认为,1H21 全球封测设备公司业绩兑现强劲主要系全球封测产能紧缺背景下,下游 封测代工厂扩产需求拉动设备需求高涨。我们观察到主要设备厂商新增订单规模持续走高, 积压订单规模进一步增大。尽管供应链紧张持续存在,但在下游订单规模加持下,主要设 备厂商 2Q 业绩表现依然亮眼。

全球封装设备板块与测试设备板块1H21呈现波动上涨趋势。我们选取 ASM Pacific、 Disco、K&S、Besi 4 家公司作为全球封装设备板块标的并按市值加权,全球封装设备板 块 1H21 上涨 13.4%,21 年初至 9 月 30 日收盘共上涨 2.9%;选取爱德万、泰瑞达、东京 精密、科休、长川科技、华峰测控 6 家公司作为全球测试设备板块标的并按市值加权,全 球测试设备板块 1H21 上涨 20.5%,21 年初至 9 月 30 日收盘共上涨 13.6%。全球封测设 备板块 1H21 上涨 18.0%,21 年初至 9 月 30 日收盘共上涨 9.8%。

把握封测设备国产化机会

在中国半导体设备市场21-25年CAGR为25%,中国大陆封测设备国产化率为20%的条 件下,我们预计2025年中国大陆封测设备国产化市场空间为15.82亿美元。据 SEMI 数 据,2020 中国大陆半导体设备市场规模达 187.20 亿美元,16-20 年 CAGR 为 30.7%, 11-20 年 CAGR 为 17.7%。在下游代工厂商资本支出高企的背景下,我们假设 21-25 年中 国大陆半导体设备市场 CAGR 为 25%。据中国国际采招网数据,2019 年我国封测设备国 产化率约 5%,伴随长川科技、华峰测控等封测设备厂商多年技术积累及市场培育成果的 逐步兑现,我们假设 2025 年我国封测设备国产化率将达 20%。在上述假设条件下,我们 预计 25 年中国大陆封测设备国产化市场空间约 15.82 亿美元。若按 2020 年全球封装设备 市场规模(38.5 亿美元,据 SEMI)与测试设备市场规模(60.1 亿美元,据 SEMI)的比 例对封测设备国产化市场规模做拆分,则 2025 年封装设备国产化市场空间为 6.18 亿美元, 测试设备国产化市场空间 9.65 亿美元。

星星之火可以燎原,中国大陆封装设备持续取得突破,我们看好封装设备国产化机遇。经 过多年的技术积累及市场培养,部分中国大陆半导体封装设备厂商的设计制造能力日渐成 熟。据人民网,2019 年苏州艾克瑞思研发的扇出型封装设备已达到或超过国际先进水平。据集微网,2021 年中电科电子装备有限公司自主研发的 8 英寸全自动晶圆减薄机产业化 机型已成功进入中国大陆某 8 英寸集成电路产线,公司预计今年底还会交付首台 12 英寸 全自动晶圆减薄机,解决超薄晶圆加工领域“卡脖子”问题。如塑封机领域的富仕三佳、 切筋成型设备领域的三佳山田、固晶机领域的普莱信智能等公司的技术也日趋成熟。我们 认为,伴随持续的技术积累及市场培养,未来中国大陆部分封装设备公司将受益于中国大 陆封测行业的订单回流而迎来业绩高速发展,封装设备国产化市场未来可期。

半导体后道测试设备已实现部分国产替代,后道测试设备有望受益于存储器国产化等机遇。测试机方面,据华峰测控 20 年报,公司已实现模拟&混合测试机的进口替代,部分 SoC 测试机也已研发完成并交付验证。此外,我们注意到悦芯科技、御渡科技、江苏宏泰、武 汉精鸿等一批企业在 SoC 测试机、存储测试机等领域快速成长,我们看好后道设备新势 力把握存储器国产化等机遇快速发展。探针台方面,中国大陆主要企业为长川科技、森美 协尔、深圳矽电等,整体处于第二梯队,正在缩小与国际先进厂商的技术差距。据 Techweb,森美协尔科技在 21 年 3 月推出中国大陆首款晶圆级 A12 全自动探针台,我国 探针台部分产品已迈入国际先进行列。分选机方面,据长川科技 20 年报,公司在例如平 移式分选机等细分设备中已达国际一流水平。我们认为,伴随持续的技术积累与市场培养, 在海外疫情对海外供应链冲击及中国大陆大循环背景下,测试设备国产化进程有望进一步 提速,国产化市场空间广阔。


3 先进封装:技术路线及下游市场简介

市场规模:2019年全球290亿美元,20-25 CAGR6.6%,25年占比49.7%

摩尔定律指集成电路上容纳的晶体管数目约每 18 个月便会增加一倍,但随着晶体管特征 尺寸缩小到 10nm 以下,量子隧穿效应导致漏电愈发严重,基于摩尔定律的芯片研发和制 造成本也会呈几何倍数增加,摩尔定律延续遇到瓶颈。业界提出深度摩尔(More Moore)、 超越摩尔(More than Moore)与新器件(Beyond CMOS),其中超越摩尔指不单通过进 一步缩小晶体管尺寸来达到摩尔定律,而是通过电路设计优化或先进封装工艺实现。

先进封装市场规模增速显著高于传统封装,我们预计21-26年中国大陆先进封装市场规模CAGR将达18.0%。全球来看,据 Yole 预计,全球先进封装市场规模将由 2019 年的约 290 亿美元攀升至 2025 年的约 420 亿美元,20-25 年 CAGR 约为 6.6%,2025 先进封装 占比达到 49.7%。据中国产业信息网数据,中国大陆先进封装市场规模占大陆封测市场比 例由 2017 年的 11.3%上升至 2020 年的 13.1%。对比全球平均水平,我国先进封装市场 份额占比仍处低位,产业升级空间广阔。

通过统计长电、通富、华天等主要封测厂商 20- 21 年募投扩产项目,我们观察到大陆主要封测厂商先进封装产业化布局或已迎来提速,预 计先进封装市场规模占比将加速提升。17-20 年先进封装占比共提升 1.8pct,我们预计 21-26 年先进封装占比将以每三年约+3.5pct 的速度加速提升,预计 2026 年中国大陆先进 封装市场规模占比将达 20%,结合前瞻产业研究院对 2026 年我国封装市场规模的预测 (4429 亿元),我们预计 2026 年中国先进封装市场规模将达到 885.8 亿元,预计 21-26 年先进封装市场规模 CAGR 将达 18.0%。我们认为先进封装工艺将成为引领中国大陆封测行业增长的核心动能。

先进封装是先进连接技术、操作单元、封装思路等的交错与聚合。我们以 1)倒装封装 (Flip-Chip)、2) 晶圆级芯片尺寸封装(WLCSP)及 3) 系统级封装(SiP)这三种具 有代表性的先进封装工艺为例,介绍发展趋势。

技术路线1:倒装封装(Flip-chip)

倒装并不是一种特定的封装工艺,而是一种芯片与基板的连接技术。倒装封装工艺由 IBM 于上世纪 60 年代研发出来,近年来随着消费电子产品的迅速发展与产品性能需求的迅速 提升而应用广泛。传统的引线键合方式中,芯片通过金属线键合与基板连接,此种封装工 艺封装出的芯片面积较大,逐渐不能满足智能设备的小型化需求。倒装芯片工艺是指在芯 片的 I/O 焊盘上直接沉积,或通过 RDL 布线后沉积凸块(Bump),然后将芯片翻转进行加 热,使熔融的焊料与基板或框架相结合,芯片电气面朝下。

倒装封装工艺可细分为FCBGA(倒装芯片球栅格阵列封装)和倒装芯片尺寸封装(FCCSP)两种工艺,倒装球栅格阵列封装(FCBGA)在倒装封装(FC)中拥有高的市场份额。由于使用小球而非针脚焊接,此项工艺解决了电磁兼容与电磁干扰问题,可以 承受较高的频率;I/O 密度高,可有效减少封装面积;倒装封装的形式可使芯片背面直接 接触空气,提升芯片散热能力。由于上述优势,倒装球栅格阵列封装被广泛应用于笔记本 电脑、高性能计算(HPC)、AI 等领域。据 Yole 数据,倒装球栅格阵列封装(FCBGA) 2020 年市场规模约为 100 亿美元,预计到 2025 年市场规模增长至 120 亿美元,21-25 年 市场规模 CAGR 约为 3.7%。

先进封装的应用场景:5G射频芯片、消费电子、HPC

倒装芯片尺寸封装(FCCSP)收入端,日月光位居首席,大陆厂商未来可期。据 Yole 数 据,2020 年在倒装芯片级封装领域中,中国台湾厂商日月光以营收端 23%的市占率位居第一, 其次为三星和安靠(Amkor),市占率分别为 14%和 10%。大陆厂商长电科技、华天科技、 通富微电分别位列第四、五、六位,市占率分别为 8%、4%、3%。若除去 IDM 厂商三星, 只统计 OSAT 厂商,则上述三家大陆厂商市占率分别位列第三、四、五位。在半导体产业 链的国产化替代大潮中,大陆厂商有望进一步提升倒装芯片级封装(FCCSP)市场份额。

技术路线3:系统级封装(SiP)

晶圆级芯片尺寸封装(WLCSP)是将芯片尺寸封装(CSP)和晶圆级封装(WLP)融合为一体的新型封装技术。对比传统封装将晶圆切割成单个芯片后再进行封装,晶圆级封装 具有明显的成本优势。芯片尺寸分装(CSP)是指封装面积与芯片面积之比小于 1.2:1 的 技术,可有效促进电路的微型化。所以,晶圆级芯片尺寸封装(WLCSP)可明显缩小 IC 尺寸,大幅提升信息传输速度,有效降低杂讯干扰几率。据晶方科技招股书,晶圆级芯片 尺寸封装的产品比方形扁平式封装(QFP)产品小 75%、重量轻 85%,比球栅格阵列封 装(BGA)尺寸小 50%、重量轻 40%。

按技术类型分,晶圆级芯片尺寸封装可分为扇入型晶圆级封装(FIWLP)和扇出型晶圆级封装(FOWLP)。传统的晶圆级封装多采用扇入型结构(FI),主要应用于 I/O 引脚数量较 少的集成电路芯片。随着消费终端对电子产品性能要求的不断提高,以及光刻机和芯片制 造技术的持续推进,28nm 及以下的工艺制程逐渐成为主流,扇入型封装已经不能完成在 其芯片面积内的多层再布线和凸点阵列排布,扇出型晶圆级封装(FOWLP)应运而生。扇出型封装突破了 I/O 引出端数目的限制,在原尺寸内部无法全部排布所需 I/O 口数量时, 通过特殊的填充材料,人为扩大芯片的封装尺寸,并在整个封装范围上走线和排布 I/O。

扇出型晶圆级封装(FOWLP)为晶圆级封装下具成长性工艺,由于相较于FIWLP可提供更多的I/O数目,FOWLP在计算芯片等复杂度较高的集成电路中表现强劲。由于目前 芯片制造工艺节点大多还在 28nm 及以上,I/O 数量相对较少,可以用扇入型晶圆级封装 (FIWLP)及倒装封装(FC)方式解决,随着未来芯片复杂程度的提升及制程的缩减,扇 出型晶圆级封装为大势所趋。目前,扇出型晶圆级封装广泛应用于智能手机及 PC 端微处 理器等终端。据 Yole 数据,扇出型晶圆级封装市场规模预计将从 2019 年的 12.56 亿美元 增长至 2025 年的 30.46 亿美元,2020-2025 年 CAGR 达 15.9%。考虑到消费电子高度集 成化需求势不可挡,叠加 FOWLP 工艺进一步成熟后有望在汽车电子、军工电子、高算力 AI 等潜在领域大规模应用,我们认为 FOWLP 为晶圆级封装下具成长性工艺。

台积电集成扇出型封装(InFO)工艺全球领先,大陆厂商市占率有望进一步提升。据 Yole 数据,2020 年扇出型晶圆级封装(FOWLP)全球市场规模达 14.75 亿美元,同比增 长 17.44%,其中台积电市场占有率高,达到 66.9%,其次依次为日月光、长电科技、 安靠(Amkor),市占率分别达 20%、5.1%、3%。目前长电科技、华天科技、通富微电、 晶方科技等大陆厂商已开发出较为成熟的扇出型封装方案,随着持续的研发投入及资本扩 张,预计大陆厂商在扇出型封装中的市占率将进一步扩大。

技术路线3:系统级封装(SiP)

系统级封装(SiP)并不是某项具体工艺,而是通过并行或堆叠的方式将多种不同功能的芯片一起进行封装的解决方案。根据国际半导体路线组织(ITRS)的定义:SiP 为将多个具有 不同功能的有源电子元件与可选无源器件,以及诸如 MEMS 或者光学器件等其他器件优先 组装到一起,实现一定功能的单个标准封装件,形成一个系统或者子系统。由于系统级分装 可显著减小封装体积,实现更复杂的功能,故其被视为实现超越摩尔定律的重要路径。通过 堆叠实现 Z 轴方向上的三维集成和信号联通是系统级封装重要的技术方向,典型技术有 3D 堆叠(3D-stacking)、硅通孔技术(TSV)、小芯片封装技术(Chiplet)等。堆叠可进一步提 升封装密度,改善信号传输速度,降低功耗,但技术难度大,堆叠、穿孔等关键技术的成熟 度和丰富度仍待提升。

将芯片在3D空间进行封装是SiP乃至先进封装工艺发展的趋势,3D封装及Chiplet封装为系统级封装的代表性工艺。3D 堆叠技术是指通过堆叠或过孔互连等工艺,使不同功能 的芯片或结构在 Z 轴方向上形成立体集成、信号连通及封装的技术。Chiplet 模式是通过 die-to-die 内部互联技术将多个模块芯片与底层基础芯片封装在一起,构成多功能的异构 SiPs 芯片的模式。台积电于 21 年 8 月 23 日的 Hot Chips 大会中表示,其先进封装技术将 沿着 3D 封装思维,从 InFO(集成扇出型封装)和 CoWoS(Chip-on-Wafer-onSubstrate)变为 SoIC(小外形集成电路封装)和 InFO、CoWoS 相结合,预计将于 2035 年前实现 1μm 以内的 SoIC 互连。

由于SiP在异构集成方面的优势,强调轻便型与功能性结合的消费电子为其大应用领域。 据 Yole 数据,2020 年全球系统级封装市场约为 140 亿美元,按下游应用领域细分,消费 电子以约 119 亿美元的市场规模及约 85%的市场占比,占据系统级分装下游应用领域高 的市场份额。其次为通讯基础设施领域及汽车电子领域,市场规模分别约为 12 亿美元、8 亿美元。预计 2026 年系统级分装市场规模将超过 190 亿美元,2021-2026 年市场规模 CAGR 将大于 5%。细分市场来看,消费电子、通讯基础设施、汽车电子系统级分装市场规 模将分别达到约 157 亿美元、19 亿美元、13 亿美元,2021-2026 年市场规模 CAGR 预计 分别为 5%、8%、10%。

2.5D/3D封装可较大提升封装密度、缩小封装尺寸,其广泛应用于HPC等应用终端。据 麦姆斯咨询援引 Yole 数据,2.5D/3D 封装市场规模将从 2018 年的 17.58 亿美元增长至 2023 年的 57.49 亿美元,19-23 年 CAGR 达 26.7%,远高于其他先进封装工艺市场规模 增速。按下游应用领域进行拆分,主要应用领域为消费电子、HPC 及汽车电子,19 年市 场份额分别为 11.76、3.50、0.81 亿美元,19-23 年 CAGR 分别约为 18%、46%、25%, 消费电子占据 2.5D/3D 封装主要的应用市场,而 HPC 将有高的市场规模增速,将为 2.5D/3D 技术的真正驱动力。我们认为,2.5D/3D 封装是未来具潜力与成长性的封装工 艺,或将重塑封装领域竞争格局。

先进封装的应用场景:5G射频芯片、消费电子、HPC

技术角度,倒装封装预计仍将占据大多数先进封装市场份额,3D封装具成长潜力。据Yole 统计,以晶圆用量为统计口径,2019 年先进封装共使用约 2900 万片晶圆,其中倒装 封装占比高,达到 74%,其次占比由高到低依次为扇入型封装、3D 堆叠封装、扇出型 封装,占比依次为 12%、7%、6%。预计到 2025 年先进封装共使用约 4300 万片晶圆, 倒装封装仍为规模大的先进封装工艺,但占比下滑至 71%;3D 堆叠封装有望迎来高速 增长,占比由 2019 年的 7%提升至 2025 年的 12%;扇出型封装市场占有率也将迎来进一 步上升,占比提升至 2025 年的 8%。

应用层面,电信基础设施为先进封装增长快的应用领域,手机&消费电子为先进封装主要应用领域。据 Yole 统计,2018 年先进封装市场规模共约 276 亿美元,其中手机&消 费电子占比达 84%,为先进封装主要的应用领域。汽车&交通工具及通信基础设施占比 分别为 9%、6%,上述三种应用领域为先进封装主要应用领域。预计 2024 年移动&消费 电子仍将为先进封装第一大应用市场,但占比下降至 73%。通信基础设施将成为先进封装 应用领域中复合增长率高的部分,价值占比预计提升至 15%;汽车&交通工具价值占比 预计将从 9%提升至 11%。

以5G手机为代表的5G技术正打开全新封装市场蓝海,中国大陆封测厂商或将显著受益。据 Yole 数据,2020 年全球 5G 智能手机封测市场规模达 5.1 亿美元,预计 2026 年全球 5G 智能手机封测市场规模将达到 26 亿美元,21-26 年 CAGR 将达 31%。除 5G 智能手机的应 用场景外,5G 技术在物联网、智能驾驶等应用场景中也大有可为。我们认为,以智能手机 为代表的 5G 技术将成为封装市场尤其是先进封装市场主要的助推器,在中国大陆 5G 手 机渗透、5G 技术应用推广领先全球的时代背景下,中国大陆封测厂商将在 5G 大潮中迎来 历史性机遇。

汽车电子封装蓄势待发,增量市场规模较大。由于智能汽车需要实现自动驾驶、智能网联等 功能,其需要的电子元件数目大幅增加,车载芯片封装需求预计也将大幅提升。由于车规级 芯片条件苛刻,对安全性、可靠性的要求远高于消费级芯片,故车载芯片目前仍主要采用较 为成熟的传统封装工艺。随着先进封装工艺的不断成熟,其集成化、高算力等优势使得先进 封装仍为车载芯片封装未来趋势。据 Yole 数据,汽车电子封装市场将从 2018 年的 51.14 亿 美元增长至 2024 年的 89.88 亿美元,19-24 年 CAGR 将达 10%,其中先进封装占比将从 2018 年的 3%提升至 2024 年的 6%。我们看好汽车电子对封装行业的赋能,在中国大陆智 能汽车渗透率持续提升的背景下,汽车电子将成为中国大陆封装行业的重要助推剂。

智能可穿戴设备及HPC放量在即,助力先进封装市场规模增长。智能可穿戴设备端,据 IDC,全球服装类智能可穿戴设备出货量预计从 2018 年的 280 万台增长至 2022 年的 910 万台,对应时间段 CAGR 约为 34.3%;耳戴设备类预计从 210 万台增至 1280 万台, CAGR 约为 56.4%;手表类预计从 7280 万台增长至 1.2 亿台,CAGR 约为 13.30%。由 于智能可穿戴设备对微型化、集成化的需求强烈,多采用系统级封装(SiP),故智能可穿 戴设备的放量将助推先进封装市场的发展。据 Gartner,全球公有云服务市场规模将从 2019 年的 2427 亿美元增至 2022 年的 3640 亿美元,20-22 年 CAGR 约为 14.5%。公有 云服务带动的 HPC 需求放量也将进一步驱动 3D 堆叠、倒装封装等先进封装市场的发展。


联系我们

  • 电话:13717391075
  • 手机:13717391075
  • QQ:465593433
  • 邮箱: cjj@skdxigu.com
  • 地址:深圳市宝安区福永街道征程路2号
    惠州生产基地:广东省惠州市惠城区月明路惠州深科达智能装备产业园B栋7楼